亚洲国产日韩欧美在线a乱码,国产精品路线1路线2路线,亚洲视频一区,精品国产自,www狠狠,国产情侣激情在线视频免费看,亚洲成年网站在线观看

eda技術(shù)在生活中的應(yīng)用

時間:2023-03-14 18:45:15 EDA技術(shù)培訓(xùn) 我要投稿
  • 相關(guān)推薦

eda技術(shù)在生活中的應(yīng)用

  EDA(Electronic Design Automation)技術(shù),即電子設(shè)計自動化技術(shù),是在CAA和CAD(電子線路計算機輔助分析和輔助設(shè)計)技術(shù)基礎(chǔ)上發(fā)展起來的計算機設(shè)計軟件系統(tǒng),它集計算機、電子、信息和CAM(計算機輔助制造)、CAT(計算機輔助測試)等技術(shù)于一體,不僅具有強大的設(shè)計能力,還具有測試、分析及管理的功能,可完整實現(xiàn)電子產(chǎn)品從電學(xué)觀念設(shè)計到生成物理生產(chǎn)數(shù)據(jù)的全過程。它改變了以往采用定量計算和搭電路實驗為基礎(chǔ)的傳統(tǒng)設(shè)計方式,使電子電路的分析與設(shè)計方法發(fā)生了重大變革。微電子技術(shù)的迅猛發(fā)展和微型計算機的快速普及,給EDA技術(shù)的廣泛應(yīng)用創(chuàng)造了良好條件。EDA技術(shù)已成為當(dāng)代電子系統(tǒng)及專用集成電路設(shè)計中不可缺少的重要手段。

  一、常用的EDA技術(shù)軟件

  目前引入我國EDA軟件有多種,其中影響比較在的有:Pspice、Multisim(MULTISIM)、Protel、Orcad等。

  Pspice(Simulation Program With Integrated Circuit Emphasis)是美國MicroSim公司于20世紀(jì)80年代開發(fā)的電路仿真分析軟件,可以進(jìn)行模擬/數(shù)字電路混合分析、參數(shù)優(yōu)化等。

  Multisim的前身是在我國具有一定知名度的EWB(Electronic Workbench)軟件,是加拿大Interactive Image Technologies Ltd公司20世紀(jì)90年代初推出的專用于電工電子線路仿真的軟件,可以實現(xiàn)對模擬數(shù)字電路的混合仿真。

  Protel的前身版本是Tango,由澳大利亞Protel Technolog公司研制開發(fā)。該軟件功能十分強大,可以完成電路原理圖的設(shè)計、電路仿真分析、印制電路板設(shè)計及自動布線、信號完整性分析、可編程邏輯器件(PLD)設(shè)計等。

  Orcad軟件包是20世紀(jì)90年代初由美國Orcad公司研制開發(fā)的EDA軟件包,包括原理圖設(shè)計、PCB設(shè)計、VST、PLD Tools等軟件。

  EDA軟件很多,其功能各有所長,但也有相似之處。因此對于在校的大中專學(xué)生來說,沒有必要也不可能通曉所有軟件,重點學(xué)習(xí)一到兩種即可,因為每學(xué)習(xí)一種軟件都需要時間。就目前了解的國際國內(nèi)EDA軟件的推廣應(yīng)用情況來看,Multisim和Protel是兩款優(yōu)秀的EDA軟件。

  二、Multisim軟件

  Multisim軟件被譽為“計算機里的實驗室”。具有界面交互友好、畫面形象直觀、易學(xué)、易用、快捷、方便、真實、準(zhǔn)確的特點,可實現(xiàn)大部分硬件電路實驗和分析的功能。

  Multisim的設(shè)計實驗工作區(qū)好象一塊面包板,在上面可建立各種電路進(jìn)行仿真實驗及分析,工具欄中存放著數(shù)字電壓表、數(shù)字電流表、數(shù)字萬用表、功率表、具有存貯功能的示波器、能產(chǎn)生正弦波三角波和方波的函數(shù)發(fā)生器、可直接顯示電路頻率響應(yīng)的掃頻儀、數(shù)字信號邏輯分析儀、數(shù)字信號發(fā)生器,還有可進(jìn)行邏輯表達(dá)式和邏輯電路圖相互轉(zhuǎn)換的邏輯轉(zhuǎn)換儀等。

  Multisim的器件庫為用戶提供數(shù)萬種以上的常用模擬和數(shù)字元器件,設(shè)計和實驗時可通過工具欄任意取用,每種元器件使用數(shù)量不受限制。元器件之間的連線操作也非常方便,當(dāng)你將電路所用元件放置到工作區(qū)后,只要將鼠標(biāo)箭頭指向元器件的引腳,立即就會出現(xiàn)一個黑色圓點,這時按下鼠標(biāo)左鍵,移動光標(biāo)到另一個元器件的引腳,一條連線自動形成。實驗時,發(fā)光二極管可以發(fā)出紅綠藍(lán)各色光,邏輯探頭可直接顯示電路節(jié)點的高低電平,繼電器和開關(guān)的觸點可以分合動作,熔斷器可以被燒斷,燈泡可以發(fā)光或燒毀,蜂鳴器可以發(fā)出不同音調(diào)的聲音,電位器的觸點可以按比例移動改變阻值,儀器儀表可以顯示相應(yīng)的數(shù)據(jù)和波形。Multisim為用戶造就了一個一體化的設(shè)計實驗環(huán)境,建立電路、實驗分析和結(jié)果輸出在系統(tǒng)菜單系統(tǒng)中可以全部完成。如果是電子電路設(shè)計,Multisim還可進(jìn)行直流工作點分析、瞬態(tài)分析、傅里葉變換分析、噪聲和失真度分析、溫度掃描分析、參數(shù)掃描分析、靈敏度分析、零極點分析、最壞情況分析和蒙特卡羅分析等,分析結(jié)果以數(shù)值或波形直觀地顯示出來。圖1所示為用Multisim建立的單管放大電路及仿真實驗測試的輸入輸出電壓波形。

  三、Protel軟件

  Protel軟件功能十分強大,尤其是印制電路板的設(shè)計與制作方面具有獨到之處。目前應(yīng)用的最新版本ProtelDXP是一個32位的EDA設(shè)計系統(tǒng),可以完成電路原理圖的設(shè)計、錯誤檢查、仿真分析、印制電路板設(shè)計、信號完整性分析、可編程邏輯器件(PLD)設(shè)計等。該軟件集強大的設(shè)計能力、復(fù)雜工藝的可生產(chǎn)性、設(shè)計過程管理于一體,具有電子產(chǎn)品從設(shè)計到生產(chǎn)的完善的技術(shù)保證。Protel還具有網(wǎng)絡(luò)功能,對復(fù)雜的電子系統(tǒng)可通過網(wǎng)絡(luò)實現(xiàn)多個成員分工合作設(shè)計,設(shè)計成員通過設(shè)置密碼規(guī)定訪問權(quán)限。Protel采用數(shù)據(jù)庫文檔管理方式,對多個相互關(guān)聯(lián)的設(shè)計文檔實現(xiàn)同步設(shè)計和統(tǒng)一管理。

  Protel仿真器,可以進(jìn)行模擬信號和數(shù)字信號電路的混合仿真,給出各測試點輸出信號的數(shù)據(jù)和波形。同時它還能夠進(jìn)行直流工作點、瞬態(tài)、交流小信號、失真、噪聲、溫度、蒙特卡羅等多種分析,通過分析可以發(fā)現(xiàn)電路的性能缺陷,及時地加以修正。Protel所帶的信號完整性分析工具能夠精確地模擬和分析印制電路板的性能,從而使得用戶可以了解網(wǎng)絡(luò)阻抗、傳輸特性、傳播延遲、信號質(zhì)量、信號反射、串音以及電磁兼容性等問題以及它們帶來的影響。確保了電子產(chǎn)品的設(shè)計質(zhì)量。圖2所示為用Protel設(shè)計的“振蕩器和積分器”電路原理圖、仿真波形和印制電路板。

  四、與時俱進(jìn)開展EDA教學(xué)

  教育要面向現(xiàn)代化,面向未來。教學(xué)內(nèi)容要跟隨時代變化及時更新。EDA是最近十多年剛發(fā)展起來的新技術(shù),其卓越的功能,不僅縮短了產(chǎn)品開發(fā)的周期,降低了設(shè)計和制作成本,而且大大提高了產(chǎn)品的質(zhì)量,使電子科技界發(fā)生了重大變革。許多大中專校已經(jīng)開設(shè)了相關(guān)的課程,現(xiàn)在市面上已有多種版本的EDA教材出版, EDA技術(shù)方面的參考書也很多。教育部組織制訂的新的電子類電氣類各專業(yè)教學(xué)大綱也已將EDA技術(shù)列為學(xué)生必學(xué)的內(nèi)容之一。

  目前電子產(chǎn)品已進(jìn)入微電子技術(shù)應(yīng)用階段,超大規(guī)模集成電路、復(fù)雜的電路結(jié)構(gòu)和精密的制作工藝設(shè)計,是手工設(shè)計難以完成的,EDA技術(shù)成為電子產(chǎn)品設(shè)計的重要工具。微電子技術(shù)、計算機使電力電氣設(shè)備的自動化智能化程度越來越高,以弱控強是現(xiàn)代電氣設(shè)備的特征。

  EDA軟件教學(xué)為電工電子課程教學(xué)改革打開了一個窗口。學(xué)生一旦掌握了EDA軟件的基本操作,便可在教師的指導(dǎo)下在計算機上自學(xué),對有關(guān)課程內(nèi)容進(jìn)行分析和計算,做開放型實驗和設(shè)計型實驗,完成課外作業(yè),加深對課堂內(nèi)容的分析和理解。教師可用EDA軟件搞科研設(shè)計和幫助備課,研究電路中的疑難問題,精減課堂教學(xué)內(nèi)容,取代部分硬件電路實驗,可取得事半功倍的效果。教師教得輕松,學(xué)生學(xué)得主動,省錢省時又省工。同時使電工電子技術(shù)實驗和進(jìn)行電路設(shè)計成為一件輕松愉快的事情。

  隨著計算機應(yīng)用的普及,各種應(yīng)用軟件應(yīng)運而生,為各項工程設(shè)計和制造采用計算機輔助設(shè)計技術(shù)提供了便利條件,F(xiàn)在大多數(shù)學(xué)校備有充足的學(xué)生上機的機房,甚至有的學(xué)生宿舍也配備了計算機,為學(xué)生進(jìn)行計算機操作創(chuàng)造了良好的條件。開設(shè)EDA技術(shù)課程,讓學(xué)生學(xué)會使用EDA軟件,相當(dāng)于給每個學(xué)生創(chuàng)建了一個電工電子技術(shù)實驗室和設(shè)計室,可有效地促進(jìn)學(xué)生綜合技能的培養(yǎng)。

  五、我院開展EDA教學(xué)的探索

  1993年我院首次對“加強實踐教學(xué)試點班”學(xué)生開設(shè)電子線路CAD課程,當(dāng)時使用的軟件為Tango,學(xué)生表現(xiàn)出極大的學(xué)習(xí)興趣,取得了較好的教學(xué)效果。試點班學(xué)生在教師的指導(dǎo)下,在畢業(yè)設(shè)計時,用Tango軟件設(shè)計制作了電力電子、自動控制實驗設(shè)備58臺套。

  EDA是一門新技術(shù),多數(shù)學(xué)生學(xué)習(xí)興趣濃厚,積極主動,但也發(fā)現(xiàn)個別學(xué)生學(xué)習(xí)情緒不夠穩(wěn)定,往往需要教師對學(xué)生的學(xué)習(xí)熱情加以正確引導(dǎo)。

  例如EDA仿真實驗是一種虛擬的操作,學(xué)習(xí)時會感到有些抽象,因此,我們讓學(xué)生將電工、電子技術(shù)EDA仿真實驗與硬件實驗所測試的數(shù)據(jù)、波形進(jìn)行對比,證明二者的一致性,使學(xué)生確信EDA仿真實驗的正確,從而明白虛擬并非虛構(gòu),而是真實地模仿,提高學(xué)生進(jìn)行EDA實驗的信心和興趣,加深對理論知識的分析和理解;再如電子技術(shù)實習(xí)時,為了讓學(xué)生正確地使用EDA軟件設(shè)計印制電路板,我們組織學(xué)生參觀電子廠和電視機廠,了解電子產(chǎn)品的生產(chǎn)過程。同時我們還收集了多種電子元器件和收音機、電視機、VCD機、計算機等電子產(chǎn)品的印制電路板,讓學(xué)生仔細(xì)觀察各種元器件的外形,學(xué)會識別和測試元件,認(rèn)真研究印制電路板的結(jié)構(gòu)及布局、布線特征,使學(xué)生獲取感性認(rèn)識后再深入學(xué)習(xí)EDA技術(shù)。

  EDA教學(xué)是軟件教學(xué),不宜在教室空講授課,適宜計算機演示教學(xué)。我們一般采用大屏幕投影教學(xué),即多媒體教室上課。老師一邊在計算機上進(jìn)行操作,一邊進(jìn)行講解。若每個學(xué)生面前有計算機,可讓他們跟隨老師一起操作。若上課時學(xué)生沒有配備計算機,下課后可安排學(xué)生上機操作,授課和上機操作學(xué)時比為1∶1,也可使操作學(xué)時多一些,交叉進(jìn)行。每次上機操作前,老師對學(xué)生提出具體的練習(xí)內(nèi)容要求,加強操作考核,學(xué)一部分,考核一部分。一般在EDA課程結(jié)束后,還安排有電子技術(shù)課程設(shè)計和電子技術(shù)實習(xí)、畢業(yè)設(shè)計等,這些環(huán)節(jié)都要求用EDA軟件繪圖及進(jìn)行實際制作,使學(xué)生在反復(fù)練習(xí)EDA軟件操作過程中,不斷提高熟練程度。

  實踐證明,EDA教學(xué)還可以促進(jìn)計算機、英語和其他專業(yè)課程的學(xué)習(xí)。由于不同計算機軟件的窗口界面、菜單命令操作具有一定的相似性,學(xué)好用好EDA軟件,對學(xué)習(xí)其他計算機軟件有諸類旁通的作用;再如英語,因為多數(shù)EDA軟件都是國外原版,其菜單命令、對話框文字及幫助信息都是英文,學(xué)習(xí)EDA軟件時,學(xué)生也就聯(lián)系專業(yè)實際學(xué)習(xí)了英語,記憶深刻;另外通過EDA軟件所做的各種仿真實驗與測試分析,可大大加深對電路原理的理解,利用EDA軟件功能還可以自行創(chuàng)建各種強電系統(tǒng)使用的元器件符號,進(jìn)而設(shè)計出電類專業(yè)其他課程如電力電子技術(shù)、機床電氣控制設(shè)備、供配電線路等電路原理圖和裝配圖。

  由于EDA軟件是電工電子技術(shù)仿真實驗和設(shè)計的工具,因此讓學(xué)生在進(jìn)校的第二學(xué)期就可以開出,這樣在學(xué)習(xí)電工基礎(chǔ)和電子技術(shù)時就可以應(yīng)用。

  六、總結(jié)

  目前全球性的EDA技術(shù)開發(fā)推廣應(yīng)用方興未艾,我們應(yīng)不失時機地在職業(yè)技術(shù)教育中開展EDA教學(xué),培養(yǎng)學(xué)生掌握最前沿的應(yīng)用技術(shù),以滿足時代發(fā)展和教育改革的需要。


更多相關(guān)文章推薦:

1.EDA技術(shù)知識:pcb板設(shè)計中布線規(guī)則

2.電子EDA技術(shù)的基礎(chǔ)知識

3.EDA技術(shù)主流pcb軟件的區(qū)別

4.EDA技術(shù)pcb板設(shè)計中布線規(guī)則

5.EDA技術(shù)的基礎(chǔ)知識

6.eda技術(shù)的基本內(nèi)涵是什么

7.EDA技術(shù)與應(yīng)用課后習(xí)題答案大全

8.EDA技術(shù)進(jìn)行數(shù)字電路設(shè)計

9.關(guān)于EDA技術(shù)的發(fā)展與應(yīng)用

10.eda技術(shù)在生活中的應(yīng)用

【eda技術(shù)在生活中的應(yīng)用】相關(guān)文章:

EDA技術(shù)與應(yīng)用03-31

關(guān)于EDA技術(shù)的發(fā)展與應(yīng)用03-27

2016年EDA技術(shù)的發(fā)展與應(yīng)用01-20

EDA技術(shù)與應(yīng)用課后習(xí)題答案03-08

EDA技術(shù)與應(yīng)用課后習(xí)題答案大全01-20

IP在EDA技術(shù)的應(yīng)用和發(fā)展中的意義03-08

EDA技術(shù)的發(fā)展03-08

EDA技術(shù)概念12-18

eda技術(shù)概述01-24

EDA技術(shù)的概念及范疇03-08